Engineering Electrical and Electronic Engineering

Advancements in Photolithography Techniques

Description

This cluster of papers focuses on electron beam lithography, nanofabrication, and resolution limits in lithography techniques. It explores the applications of extreme ultraviolet lithography, chemically amplified resists, and high-resolution patterning materials. The cluster also discusses the challenges related to line edge roughness and mask design in nanolithography.

Keywords

Electron Beam Lithography; Nanofabrication; Resolution Limits; Chemically Amplified Resists; Extreme Ultraviolet Lithography; Line Edge Roughness; Nanolithography Techniques; Patterning Materials; High-Resolution Patterning; Mask Design

Overview of semiconductor devices introduction to semiconductor equipment silicon materials surface preparation ion implantation dopant diffusion oxidation silicidation rapid thermal processing overview of interconnect chemical vapourdeposition alternate interlevel dielectrics polymide … Overview of semiconductor devices introduction to semiconductor equipment silicon materials surface preparation ion implantation dopant diffusion oxidation silicidation rapid thermal processing overview of interconnect chemical vapourdeposition alternate interlevel dielectrics polymide dielectrics physical vapour deposition chemical-mechanical polish optical lithography photoresist materials and processing x-ray lithography electron-beam lithography photomask fabrication plasma etching equipment reliability overview of process control in-line metrology in-situ metrology yield modelling yield management electrical, physical and chemical characterization failure analysis. Appendices: glossary of manufacturing terms acronyms used in semiconductor manufacturing standards and specifications units of measure and conversion tables useful constants.
SU-8 has become the favourite photoresist for high-aspect-ratio (HAR) and three-dimensional (3D) lithographic patterning due to its excellent coating, planarization and processing properties as well as its mechanical and chemical … SU-8 has become the favourite photoresist for high-aspect-ratio (HAR) and three-dimensional (3D) lithographic patterning due to its excellent coating, planarization and processing properties as well as its mechanical and chemical stability. However, as feature sizes get smaller and pattern complexity increases, particular difficulties and a number of material-related issues arise and need to be carefully considered. This review presents a detailed description of these effects and describes reported strategies and achieved SU-8 HAR and 3D structures up to August 2006.
In the past decade, the feature size in ultra large-scale integration (ULSI) has been continuously decreasing, leading to nanostructure fabrication. Nowadays, various lithographic techniques ranging from conventional methods (e.g. photolithography, … In the past decade, the feature size in ultra large-scale integration (ULSI) has been continuously decreasing, leading to nanostructure fabrication. Nowadays, various lithographic techniques ranging from conventional methods (e.g. photolithography, x-rays) to unconventional ones (e.g. nanoimprint lithography, self-assembled monolayers) are used to create small features. Among all these, resist-based electron beam lithography (EBL) seems to be the most suitable technique when nanostructures are desired. The achievement of sub-20-nm structures using EBL is a very sensitive process determined by various factors, starting with the choice of resist material and ending with the development process. After a short introduction to nanolithography, a framework for the nanofabrication process is presented. To obtain finer patterns, improvements of the material properties of the resist are very important. The present review gives an overview of the best resolution obtained with several types of both organic and inorganic resists. For each resist, the advantages and disadvantages are presented. Although very small features (2–5 nm) have been obtained with PMMA and inorganic metal halides, for the former resist the low etch resistance and instability of the pattern, and for the latter the delicate handling of the samples and the difficulties encountered in the spinning session, prevent the wider use of these e-beam resists in nanostructure fabrication. A relatively new e-beam resist, hydrogen silsesquioxane (HSQ), is very suitable when aiming for sub-20-nm resolution. The changes that this resist undergoes before, during and after electron beam exposure are discussed and the influence of various parameters (e.g. pre-baking, exposure dose, writing strategy, development process) on the resolution is presented. In general, high resolution can be obtained using ultrathin resist layers and when the exposure is performed at high acceleration voltages. Usually, one of the properties of the resist material is improved to the detriment of another. It has been demonstrated that aging, baking at low temperature, immediate exposure after spin coating, the use of a weak developer and development at a low temperature increase the sensitivity but decrease the contrast. The surface roughness is more pronounced at low exposure doses (high sensitivity) and high baking temperatures. A delay between exposure and development seems to increase both contrast and the sensitivity of samples which are stored in a vacuum after exposure, compared to those stored in air. Due to its relative novelty, the capabilities of HSQ have not been completely explored, hence there is still room for improvement.
For all technologies, from flint arrowheads to DNA microarrays, patterning the functional material is crucial. For semiconductor integrated circuits (ICs), it is even more critical than for most technologies because … For all technologies, from flint arrowheads to DNA microarrays, patterning the functional material is crucial. For semiconductor integrated circuits (ICs), it is even more critical than for most technologies because enormous benefits accrue to going smaller, notably higher speed and much less energy consumed per computing function. The consensus is that ICs will continue to be manufactured until at least the ldquo22 nm noderdquo (the linewidth of an equal line-space pattern). Most patterning of ICs takes place on the wafer in two steps: (a) lithography, the patterning of a resist film on top of the functional material; and (b) transferring the resist pattern into the functional material, usually by etching. Here we concentrate on lithography. Optics has continued to be the chosen lithographic route despite its continually forecast demise. A combination of 193-nm radiation, immersion optics, and computer-intensive resolution enhancement technology will probably be used for the 45- and 32-nm nodes. Optical lithography usually requires that we first make a mask and then project the mask pattern onto a resist-coated wafer. Making a qualified mask, although originally dismissed as a ldquosupport technology,rdquo now represents a significant fraction of the total cost of patterning an IC largely because of the measures needed to push resolution so far beyond the normal limit of optical resolution. Thus, although optics has demonstrated features well below 22 nm, it is not clear that optics will be the most economical in this range; nanometer-scale mechanical printing is a strong contender, extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as ldquomaskless lithographyrdquo). Going from laboratory demonstration to manufacturing technology is enormously expensive ( $1 billion) and for good reason. Just in terms of data rate (mask pattern to resist pattern), today's exposure tools achieve about 10 Tb/s at an allowable error rate of about 1/h; this data rate will double with each generation. In addition, the edge placement precision required will soon be 30 parts per billion. There are so many opportunities for unacceptable performance that making the right decision goes far beyond understanding the underlying physical principles. But the benefits of continuing to be able to manufacture electronics at the 22-nm node and beyond appear to justify the investment, and there is no shortage of ideas on how to accomplish this.
Extreme ultraviolet lithography (EUVL) was thoroughly reviewed over a broad range of topics, including history, tools, source, metrology, condenser and projection optics, resists, and masks. Since 1988, many studies on … Extreme ultraviolet lithography (EUVL) was thoroughly reviewed over a broad range of topics, including history, tools, source, metrology, condenser and projection optics, resists, and masks. Since 1988, many studies on EUVL have been conducted in North America, Europe, and Japan, through state sponsored programs and industrial consortiums. To date, no “show stopper” has been identified, but challenges are present in almost all aspects of EUVL technology. Commercial alpha lithography step-and-scan tools are installed with full-field capability; however, EUVL power at intermediate focus (IF) has not yet met volume manufacturing requirements. Compared with the target of 180W IF power, current tools can supply only approximately 55–62W. EUV IF power has been improved gradually from xenon- to tin-discharge-produced plasma or laser-produced plasma. EUVL resist has improved significantly in the last few years, with 25nm 1:1 line/space resolution being produced with approximately 2.7nm (3σ) line edge roughness. Actual adoption of EUVL will depend on the extension of current optical lithography, such as 193nm immersion lithography, combined with double patterning techniques. Mask fabrication and application technologies may be the most substantial challenges. Creating a defect-free EUVL mask is currently an obstacle to its application, although a combination of removable pellicle and thermophoretic protection may overcome nonpellicle challenge. Cost of ownership is a critical consideration for EUVL; nevertheless, it has been predicted that EUVL may be in pilot production at 32nm and in large-scale production at 22nm with the capability to extend to the next technology node.
A simple technique for the computation of the proximity effect in electron-beam lithography is presented. The calculations give results of the exposure intensity received at any given point in a … A simple technique for the computation of the proximity effect in electron-beam lithography is presented. The calculations give results of the exposure intensity received at any given point in a pattern area using a reciprocity principle. Good agreement between the computed results and experimental data was achieved.
Three-dimensional nanostructure fabrication has been demonstrated by 30 keV Ga+ focused ion beam assisted deposition using a aromatic hydrocarbon precursor. The characterization of deposited film on a silicon substrate was … Three-dimensional nanostructure fabrication has been demonstrated by 30 keV Ga+ focused ion beam assisted deposition using a aromatic hydrocarbon precursor. The characterization of deposited film on a silicon substrate was performed by a transmission microscope and Raman spectra. This result indicates that the deposition film is a diamondlike amorphous carbon. Production of three-dimensional nanostructure is discussed. Microcoil, drill, and bellows with 0.1 μm dimension were fabricated as parts of the microsystem. Furthermore, microstructure plastic arts is advocated as a new field using microbeam technology, presenting one example of a microwine glass with 2.75 μm external diameter and 12 μm height.
In a scanning laser microscope detecting fluorescent light from the specimen, the depth-discriminating property of confocal scanning has been used to carry out optical slicing of a thick specimen. The … In a scanning laser microscope detecting fluorescent light from the specimen, the depth-discriminating property of confocal scanning has been used to carry out optical slicing of a thick specimen. The recorded digital images constitute a three-dimensional raster covering a volume of the specimen. The specimen has been visualized in stereo and rotation by making look-through projections of the digital data in different directions. The contrast of the pictures has been enhanced by generating the gradient volume. This permits display of the border surfaces between regions instead of the regions themselves.
The present limit of around 10 nm for the width of lines fabricated by e-beam lithography using polymethylmethacrylate (PMMA) resist on silicon substrates has been overcome. 5–7 nm wide etched … The present limit of around 10 nm for the width of lines fabricated by e-beam lithography using polymethylmethacrylate (PMMA) resist on silicon substrates has been overcome. 5–7 nm wide etched lines in bulk Si substrates have been produced. A 65 nm thick layer of PMMA was exposed with an 80 kV electron beam of diameter smaller than 5 nm. After exposure the resist was developed in 3:7 cellosolve:methanol with ultrasonic agitation. The pattern in resist was transferred to the Si substrate with reactive ion etching. Lines of width varying between 5 and 7 nm were recorded using an S-900 scanning electron microscope which has a resolution of 0.7 nm.
In this study, the mechanism of resist pattern collapse during the resist development process is investigated. Resist pattern collapse occurs while the rinse liquid is being dried off. This conclusion … In this study, the mechanism of resist pattern collapse during the resist development process is investigated. Resist pattern collapse occurs while the rinse liquid is being dried off. This conclusion was reached after observing the resist pattern before and after the rinse-liquid drying process. The resist pattern in the rinse liquid was observed using an atomic force microscope. The source of resist pattern collapse is the surface tension of the rinse liquid. The force increases with decreasing space width between resist patterns. To avoid the resist pattern collapse problem, the use of a low-surface-tension rinse liquid, a rinse liquid with contact angle ∼90° at the resist surface, or a rigid and highly adhesive resist material is effective.
A process for reproducibly and reliably realizing thin-layer patterning having details with dimensions of 100 nm or even less is described. This process has been called mold lithography. It is … A process for reproducibly and reliably realizing thin-layer patterning having details with dimensions of 100 nm or even less is described. This process has been called mold lithography. It is a two-step process: First, a photopolymerization-replication step is carried out, after which pattern transfer is realized through, e.g., wet or dry etching into the substrate material. We performed a number of elementary experiments to evaluate this process. Processing conditions are given and the obtained results are discussed. The strengths of this process are its simplicity and low cost while maintaining compatibility with (standard) semiconductor-technology processing.
Negative photoresists are materials that become insoluble in developing solutions when exposed to optical radiation. They were the first systems used to pattern semiconductor devices, and still comprise the largest … Negative photoresists are materials that become insoluble in developing solutions when exposed to optical radiation. They were the first systems used to pattern semiconductor devices, and still comprise the largest segment of the photoresist industry because they are widely used to define the circuitry in printed wiring boards. However, the current use of negative resists in the semiconductor industry has been limited by past difficulties in achieving high-resolution patterns. Recent advances in the chemistry of negative-resist systems, however, have provided materials with wide processing latitude and high resolution that are used to manufacture IBM's advanced CMOS devices and to achieve high-aspect-ratio patterns for micromachining applications. This paper provides an overview of the history and chemistry of negative-resist systems and their development in IBM.
Historically, in the mass production of semiconductor devices, exposure tools have been repeatedly replaced with those with a shorter wavelength to meet the resolution requirements projected in the International Technology … Historically, in the mass production of semiconductor devices, exposure tools have been repeatedly replaced with those with a shorter wavelength to meet the resolution requirements projected in the International Technology Roadmap for Semiconductors issued by the Semiconductor Industry Association. After ArF immersion lithography, extreme ultraviolet (EUV; 92.5 eV) radiation is expected to be used as an exposure tool for the mass production at or below the 22 nm technology node. If realized, 92.5 eV EUV will be the first ionizing radiation used for the mass production of semiconductor devices. In EUV lithography, chemically amplified resists, which have been the standard resists for mass production since the use of KrF lithography, will be used to meet the sensitivity requirement. Above the ionization energy of resist materials, the fundamental science of imaging, however, changes from photochemistry to radiation chemistry. In this paper, we review the radiation chemistry of materials related to chemically amplified resists. The imaging mechanisms from energy deposition to proton migration in resist materials are discussed.
Two situations in which self-imaging techniques can be applied to advantage are presented: the pinhole-array camera and transmission through an optical fiber. The experimental procedure and results are presented for … Two situations in which self-imaging techniques can be applied to advantage are presented: the pinhole-array camera and transmission through an optical fiber. The experimental procedure and results are presented for the case of a pinhole array illuminated with an extended incoherent object distribution. In the Fresnel-image planes, more images are formed than there are pinholes in the array, which is in contrast to the case of the pinhole-array camera. An optical fiber or thin film working in the kaleidoscope mode may form an image, provided that its length fulfills the self-imaging condition.
We discuss the construction of a new SAXS/WAXS beamline at the Advanced Light Source at Lawrence Berkeley Laboratory. The beamline is equipped with a multilayer monochromator in order to obtain … We discuss the construction of a new SAXS/WAXS beamline at the Advanced Light Source at Lawrence Berkeley Laboratory. The beamline is equipped with a multilayer monochromator in order to obtain a high X-ray flux. The detrimental effects that the increased bandwidth transmitted by this monochromator could have on the data quality of the SAXS and WAXS patterns is shown to be negligible for the experimental program intended to be operated on this beamline.
This paper describes the characterization of a home-made negative photoresist developed by IBM. This resist, called SU-8, can be produced with commercially available materials. Three blends were prepared for this … This paper describes the characterization of a home-made negative photoresist developed by IBM. This resist, called SU-8, can be produced with commercially available materials. Three blends were prepared for this article and some of its optical and mechanical properties are presented. One of its numerous advantages is the broad range of thicknesses which can be obtained in one spin: from 750 nm to with a conventional spin coater. The resist is exposed with a standard UV aligner and has an outstanding aspect ratio near 15 for lines and 10 for trenches. These ratios combined with the electroplating of copper allow the fabrication of highly integrated electromagnetic coils.
A dynamical theory of X-ray and electron diffraction for a distorted crystal which has been briefly explained elsewhere (Acta cryst. 15 (1962) 1311) is presented in more detail. The fundamental … A dynamical theory of X-ray and electron diffraction for a distorted crystal which has been briefly explained elsewhere (Acta cryst. 15 (1962) 1311) is presented in more detail. The fundamental equations for both the X-ray and electron cases are deduced from the Maxwell or the Schrödinger equations, respectively, inside crystalline media which may contain distortions. A method of solution of the equations using the Riemann function is given together with the following general results; (1) the intensities and integrated intensities, with respect to the incident angle, of the transmitted and diffracted rays at a point on the exit surface are given by integrals over the entrance surface, (2) a theoretical basis for the column approximation in the electron case is provided, (3) the intensities of the X-ray projection topographs (traverse pattern) is not dependent upon the type of the incident waves but upon the total intensity to which the crystal is exposed. The limit of the applicability of the present theory to distorted crystals is given compared with the other theories.
Metal structures 100 Å high with sharply defined linewidths of 80 Å have been produced using an electron-beam fabrication process. A contamination resist pattern is written with a 5-Å 45-keV … Metal structures 100 Å high with sharply defined linewidths of 80 Å have been produced using an electron-beam fabrication process. A contamination resist pattern is written with a 5-Å 45-keV scanning electron beam in a 100-Å-thick Au-Pd film supported by a 100-Å carbon foil. The unprotected Au-Pd is removed by dc ion etching with 1-keV Ar ions. Unlike most electron-beam microfabrication processes, the resolution of the resulting structure is not limited by electron scattering, but by the grain size of the metal films. These structures should have direct application in a large number of device fabrication problems in electron and x-ray beam technology and they should provide masks for other microfabrication processes such as x-ray lithography.
The thermal and mechanical properties of a new negative photoresist, SU8, were characterized. The influence of curing conditions, such as baking temperature, baking time and UV dosage, on the thermal … The thermal and mechanical properties of a new negative photoresist, SU8, were characterized. The influence of curing conditions, such as baking temperature, baking time and UV dosage, on the thermal and mechanical properties of the resultant coatings was studied in detail. It was found that the glass-transition temperature (Tg) of the coatings was coincident with the baking temperature over the temperature range of 25 °C–220 °C for coatings being baked for just 20 min. However, the Tg reached a limiting value (about 240 °C) once the cross-linking reaction was complete, and would not increase further with the baking temperature. The peak temperature of the dimension versus temperature plots, where heat shrinkage occurred, was about a factor of 1.16 times higher than the baking temperature for the temperature range studied. Both the Tg and the shrinkage temperature were affected by the baking time. The thermal expansion coefficients (TEC), including the volumetric TEC (αv), the in-plane TEC (α1) and the out-of-plane TEC (α2), were measured by a pressure–volume–temperature (PVT) apparatus and thermal–mechanical analyzer (TMA). Great residual stress could be generated during the process, and the change in residual stress with the environmental humidity was investigated using vibrational holographic interferometry.
This paper presents techniques for measuring a new set of parameters used to describe the image forming properties of positive photoresist [1]. Exposure is described by three optical parameters, A, … This paper presents techniques for measuring a new set of parameters used to describe the image forming properties of positive photoresist [1]. Exposure is described by three optical parameters, A, B, and C, through which the process is modelled. Development is described in terms of a rate relationship R(M) between the rate of removal of photoresist in the developer and the degree of exposure of the photoresist. This set of functional parameters provides a complete description of positive photoresist exposure and development, and is the basis for the theoretical process models discussed in the accompanying papers.
The P03 beamline, also called the microfocus and nanofocus X-ray scattering (MiNaXS) beamline, exploits the excellent photon beam properties of the low-emittance source PETRA III to provide a microfocused/nanofocused beam … The P03 beamline, also called the microfocus and nanofocus X-ray scattering (MiNaXS) beamline, exploits the excellent photon beam properties of the low-emittance source PETRA III to provide a microfocused/nanofocused beam with ultra-high intensity for time-resolved X-ray scattering experiments. The beamline has been designed to perform X-ray scattering in both transmission and reflection geometries. The microfocus endstation started user operation in May 2011. An overview of the beamline status and of some representative results highlighting the performance of the microfocus endstation at MiNaXS are given.
Miniaturization is the central theme in modern fabrication technology. Many of the components used in modern products are getting smaller and smaller. In this paper, the recent development of the … Miniaturization is the central theme in modern fabrication technology. Many of the components used in modern products are getting smaller and smaller. In this paper, the recent development of the electron beam lithography technique is reviewed with an emphasis on fabricating devices at the nanometer scale. Because of its very short wavelength and reasonable energy density characteristics, e-beam lithography has the ability to fabricate patterns having nanometer feature sizes. As a result, many nanoscale devices have been successfully fabricated by this technique. Following an introduction of this technique, recent developments in processing, tooling, resist, and pattern controlling are separately examined and discussed. Examples of nanodevices made by several different e-beam lithographic schemes are given, to illustrate the versatility and advancement of the e-beam lithography technique. Finally, future trends in this technique are discussed.
The phase-shifting mask consists of a normal transmission mask that has been coated with a transparent layer patterned to ensure that the optical phases of nearest apertures are opposite. Destructive … The phase-shifting mask consists of a normal transmission mask that has been coated with a transparent layer patterned to ensure that the optical phases of nearest apertures are opposite. Destructive interference between waves from adjacent apertures cancels some diffraction effects and increases the spatial resolution with which such patterns can be projected. A simple theory predicts a near doubling of resolution for illumination with partial incoherence σ < 0.3, and substantial improvements in resolution for σ < 0.7. Initial results obtained with a phase-shifting mask patterned with typical device structures by electron-beam lithography and exposed using a Mann 4800 10X tool reveals a 40-percent increase in usuable resolution with some structures printed at a resolution of 1000 lines/mm. Phase-shifting mask structures can be used to facilitate proximity printing with larger gaps between mask and wafer. Theory indicates that the increase in resolution is accompanied by a minimal decrease in depth of focus. Thus the phase-shifting mask may be the most desirable device for enhancing optical lithography resolution in the VLSI/VHSIC era.
The problem of designing a light or electron optical system specifically for the imaging of periodic objects, such as real crystals, is discussed. A qualitative appeal to communication theory suggests … The problem of designing a light or electron optical system specifically for the imaging of periodic objects, such as real crystals, is discussed. A qualitative appeal to communication theory suggests that it should be possible to devise systems of higher efficiency than the conventional microscope by using the a priori knowledge of periodicity.
A theory of Fresnel images is presented. Only the Fresnel images of plane periodic objects viewed in monochromatic light are considered. The theory is in agreement with the experimental and … A theory of Fresnel images is presented. Only the Fresnel images of plane periodic objects viewed in monochromatic light are considered. The theory is in agreement with the experimental and computer research available in the literature. Photographs of Fresnel images of gratings are shown to verify certain aspects of the theory.
We describe a 7-nm predictive process design kit (PDK) called the ASAP7 PDK, developed in collaboration with ARM Ltd. for academic use. The PDK is realistic, based on current assumptions … We describe a 7-nm predictive process design kit (PDK) called the ASAP7 PDK, developed in collaboration with ARM Ltd. for academic use. The PDK is realistic, based on current assumptions for the 7-nm technology node, but is not tied to any specific foundry. The initial version assumes EUV lithography for key layers, a decision based on its present near cost-effectiveness and resulting simpler layout rules. Non-EUV layers assume appropriate multiple patterning schemes, i.e., self-aligned quadruple patterning (SAQP), self-aligned double patterning (SADP) or litho-etch litho-etch (LELE), based on 193-nm optical immersion lithography. The specific design rule derivation is explained for key layers at the front end of line (FEOL), middle of line (MOL), and back end of line (BEOL) of the predictive process modeled. The MOL and BEOL DRC rules rely on estimation of time dependent dielectric breakdown requirements using layer alignments determined with projected machine to machine overlay assumptions, with significant guard-bands where possible. A high density, low-power standard cell architecture, developed using design/technology co-optimization (DTCO), as well as example SRAM cells are shown. The PDK transistor electrical assumptions are also explained, as are the FEOL design rules, and the models include basic design corners. The transistor models support four threshold voltage (Vth) levels for both NMOS and PMOS transistors. Cadence Virtuoso technology files and associated schematic and layout editing, as well as netlisting are supported. DRC, LVS, and full parasitic extraction is enabled through Mentor Calibre decks.
Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in … Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.
A new theorem on the ultimate limit of performance of optical systems is established: Not the bandwidth of the transferred spatial frequencies but only the number of degrees of freedom … A new theorem on the ultimate limit of performance of optical systems is established: Not the bandwidth of the transferred spatial frequencies but only the number of degrees of freedom of the optical message transmitted by a given optical system is invariant. It is therefore possible (a) to extend the bandwidth by reducing the object area, (b) to extend the bandwidth in the x direction while proportionally reducing it in the y direction, so that the two-dimensional bandwidth is constant, and (c) to double the bandwidth when transmitting information about one state of polarization only.To achieve this, the optical systems are modified by inserting two suitable masks (generally gratings) into optically conjugate planes of object and image space. The transfer and spread function of the modified systems are calculated for the case of coherent illumination.
This comprehensive volume, edited by a senior technical staff member at SEMATECH, is the authoritative reference book on EUV source technology. The volume contains 38 chapters contributed by leading researchers … This comprehensive volume, edited by a senior technical staff member at SEMATECH, is the authoritative reference book on EUV source technology. The volume contains 38 chapters contributed by leading researchers and suppliers in the EUV source field. Topics range from a state-of-the-art overview and in-depth explanation of EUV source requirements, to fundamental atomic data and theoretical models of EUV sources based on discharge-produced plasmas (DPP) and laser-produced plasmas, to a description of prominent DPP and LPP designs and other technologies for producing EUV radiation. Additional topics include EUV source metrology and components (collectors, electrodes), debris mitigation, and mechanisms of component erosion in EUV sources. The volume is intended to meet the needs of both practitioners of the technology and readers seeking an introduction to the subject.
An Introduction to Lithography The Lithographic Process: The Physics Organic Resist Materials Resist Processing Plasma Etching An Introduction to Lithography The Lithographic Process: The Physics Organic Resist Materials Resist Processing Plasma Etching
Haoxiang Jiang , Junqi Yang , Rui Xu +2 more | Journal of Micro/Nanopatterning Materials and Metrology
Hydrogen silsesquioxane (HSQ) offers high-resolution patterning capabilities in electron beam lithography. However, electron scattering within the resist remains challenging to detect, complicating the process control. Since previous research primarily focused … Hydrogen silsesquioxane (HSQ) offers high-resolution patterning capabilities in electron beam lithography. However, electron scattering within the resist remains challenging to detect, complicating the process control. Since previous research primarily focused on minimizing forward scattering by employing thin resist layers, this work specifically investigates scattering behavior using a thick HSQ resist (∼1 μm). By observing the residual resist of the HSQ nanopillars, the broadening trajectories of the electron beam due to forward scattering at various voltages are experimentally confirmed. Forward electron scattering generates a ring of residual resist surrounding nanopillars, with the residue shifting downward as the beam voltage increases. Backscattering electrons lead to residual resist in unexposed regions and at the nanopillar base. Increasing the developer concentration and extending the development duration effectively eliminates the scattering-induced residual resist, enabling steep HSQ nanopillars with a high aspect ratio (∼5) at 20 keV. The underlying exposure and development mechanisms are analyzed. From a practical perspective, the plasma etching performance of HSQ nanopillars is studied under gases (SF6/O2 and SF6/C4F8) to fabricate silicon nanopillars. This research contributes to an in-depth analysis of the electron scattering behavior in HSQ resists, offering valuable insights for photoresist synthesis and lithographic process optimization.
Abstract Semiconducting device manufacturing relies on constant advancements in photolithography. The continued demand for shrinking feature sizes necessitates advanced lithographic solutions to address the challenges associated with printing very small … Abstract Semiconducting device manufacturing relies on constant advancements in photolithography. The continued demand for shrinking feature sizes necessitates advanced lithographic solutions to address the challenges associated with printing very small features that meet stringent lithographic performance specifications, including sensitivity, roughness, and the ability to achieve defect and device yield requirements. An ongoing challenge is the development of photoresist materials that enable high numerical aperture (NA) extreme ultraviolet lithography (EUVL) for the next generation semiconductor manufacturing. Key to next‐generation material design is the ability to mitigate the resist stochasticity caused by the EUV‐specific photon shot noise issue and the random distribution of resist components in the resist thin film, as well as to ensure macromolecular and thin film homogeneity to address resist blur, including photoacid diffusion and electron scattering. To tackle the ultimate resist variability challenge, multiple technical approaches are being explored, including the development of next‐generation photoacid‐induced chemically amplified resists, resists based on photoinduced polymer chain scission, molecular glass resists, and metal oxide resists. In this review, recent advances in resist development for next‐generation high NA EUVL applications are presented. The need for improvements in material design, formulation, and optimization to support the semiconductor industry's patterning roadmap will also be discussed.
Abstract The Rockets for Extended-source X-ray Spectroscopy (tREXS) grating spectrograph uses modules of reflection gratings to collect spectroscopic data from extended astronomical sources of soft X-rays. Two blazed master gratings … Abstract The Rockets for Extended-source X-ray Spectroscopy (tREXS) grating spectrograph uses modules of reflection gratings to collect spectroscopic data from extended astronomical sources of soft X-rays. Two blazed master gratings were produced on silicon substrates with electron-beam lithography (EBL) and complementary nanofabrication processes that include KOH etching. Substrate-conformal imprint lithography (SCIL) was then used to create 191 replicas of the two grating masters for use in the flight instrument. Diffraction efficiency was measured for several replica gratings, which achieve a peak of $$ \varvec{&gt;} $$ <mml:math xmlns:mml="http://www.w3.org/1998/Math/MathML"> <mml:mrow> <mml:mo>&gt;</mml:mo> </mml:mrow> </mml:math> 70% absolute efficiency near 0.22 keV and an average of $$ \varvec{\approx } $$ <mml:math xmlns:mml="http://www.w3.org/1998/Math/MathML"> <mml:mrow> <mml:mo>≈</mml:mo> </mml:mrow> </mml:math> 50% absolute efficiency across the measured band, from 0.18 – 0.8 keV. Here we detail the nanofabrication of the grating masters, including the EBL parameters and tREXS-specific fabrication considerations, and the SCIL replication process used to generate the final instrument gratings. A discussion of grating characterization and areas for future improvement is also presented.
В. В. Лидер | Uspekhi Fizicheskih Nauk
Federico Crippa | Journal of Applied Econometrics
ABSTRACT The causal inference model for the regression discontinuity design (RDD) relies on assumptions that imply the continuity of the density of the assignment (running) variable. The test for this … ABSTRACT The causal inference model for the regression discontinuity design (RDD) relies on assumptions that imply the continuity of the density of the assignment (running) variable. The test for this implication is commonly referred to as the manipulation test and is regularly reported in applied research to strengthen the design's validity. The multidimensional RDD (MRDD) extends the RDD to contexts where treatment assignment depends on several running variables. This paper introduces a manipulation test for the MRDD. First, it develops a theoretical model for causal inference with the MRDD, which is used to derive a testable implication on the conditional marginal densities of the running variables. Then, it constructs the test for the implication based on a quadratic form of a vector of statistics separately computed for each marginal density. Finally, the proposed test is compared with alternative procedures commonly employed in applied research.
The integration of WebAssembly (WASM) within micro frontend architectures represents a significant advancement in web development capabilities. This technological convergence enables unprecedented performance improvements while maintaining the modularity and independence … The integration of WebAssembly (WASM) within micro frontend architectures represents a significant advancement in web development capabilities. This technological convergence enables unprecedented performance improvements while maintaining the modularity and independence inherent to micro frontend designs. By leveraging WASM's binary instruction format, organizations can implement high-performance components using various programming languages while ensuring seamless integration with existing JavaScript codebases. The implementation demonstrates substantial benefits across multiple domains, including enhanced computation speed, improved memory efficiency, and reduced latency in resource-intensive operations. The combination of WASM and micro frontends facilitates better team autonomy, enables efficient legacy code integration, and provides robust security through sandboxed execution environments. Real-world applications in image processing, audio manipulation, and complex mathematical computations showcase the practical advantages of this architectural approach, establishing a new standard for high-performance web applications.
Zusammenfassung Die Lithographie im extremen Ultraviolett (EUV) ist der Schlüssel zur Erzeugung kleinster Strukturen auf heutigen elektronischen Mikrochips. Ermöglicht wird sie unter anderem durch ein hocheffizientes CO 2 ‐Laserverstärkersystem. Dieses … Zusammenfassung Die Lithographie im extremen Ultraviolett (EUV) ist der Schlüssel zur Erzeugung kleinster Strukturen auf heutigen elektronischen Mikrochips. Ermöglicht wird sie unter anderem durch ein hocheffizientes CO 2 ‐Laserverstärkersystem. Dieses System wird optisch so ausgelegt, dass es trotz hoher optischer Leistungen thermomechanisch stabil betrieben werden kann. Der Artikel stellt einige Beispiele der technischen Herausforderungen vor, die auf Laserseite bei Trumpf gelöst werden mussten. Dazu zählt das zuverlässige Treffen von sich schnell bewegenden Zinntropfen mit einem Vor‐ und einem Hauptlaserpuls im Ferninfraroten. Das so entstehende Zinnplasma dient als EUV‐Strahlungsquelle. Weitere Herausforderungen sind die Unterdrückung störender oder zerstörerischer Rückreflexe ins Lasersystem sowie eine optimierte Kühlung der optischen Komponenten.
The proximity effect induced by electron scattering is one of the main factors limiting the development of high-resolution electron beam lithography (EBL) technology. Existing proximity effect correction (PEC) methods often … The proximity effect induced by electron scattering is one of the main factors limiting the development of high-resolution electron beam lithography (EBL) technology. Existing proximity effect correction (PEC) methods often face challenges related to either high computational demands or insufficient accuracy when calculating the point spread function (PSF) of electron scattering. This paper presents a composite model that combines a power function with a Gaussian function to calculate the PSF, where the forward scattering component is described by a power function and the backscattering component is represented by a Gaussian function. This approach ensures high accuracy of the PSF while simultaneously reducing computational complexity. Experimental validation was conducted using the commercial software BEAMER developed by GenISys GmbH, where the PSF curve obtained from this model was employed for PEC, resulting in a well-defined hydrogen silsesquioxane (HSQ) zone plate structure with an outer ring width of 30 nm. Comparative experiments showed that the composite model outperforms traditional Monte Carlo and double Gaussian models in terms of correction performance for the zone plate structure. Moreover, this model not only optimizes the computational efficiency of PSF calculations but also demonstrates greater potential for applications in the exposure of complex structures such as meta-surface and meta-lens.
Kang Yang , Guanhong Tao , Xun Chen +1 more | 2022 IEEE Symposium on Security and Privacy (SP)
The gap between the ever-shrinking feature size of integrated circuits and lithographic manufacturing ability is causing unwanted shape deformations of printed layout patterns. The deformation region with problematic imaging, known … The gap between the ever-shrinking feature size of integrated circuits and lithographic manufacturing ability is causing unwanted shape deformations of printed layout patterns. The deformation region with problematic imaging, known as a hotspot (HS), should be detected and corrected before mask manufacturing. In this paper, we propose a hotspot detection method to improve the precision and recall rate of the fatal pinching and bridging error due to the poor printability of certain layout patterns by embedding a spatial attention mechanism into the YOLOv5 model. Additionally, transfer learning and pre-trained techniques are used to expedite training convergence. Simulation results outperform the depth-based or representative machine learning-based methods on the ICCAD 2012 dataset with an average recall rate of 1, a precision rate of 0.8277 and an F1-score of 0.9057.
Abstract STEP (Spherical Tokamak for Energy Production) is the UK’s prototype fusion power plant programme aiming to demonstrate net electrical output from a spherical tokamak. The plasma scenarios require completely … Abstract STEP (Spherical Tokamak for Energy Production) is the UK’s prototype fusion power plant programme aiming to demonstrate net electrical output from a spherical tokamak. The plasma scenarios require completely non-inductive current drive for the flat-top and the majority of the ramp-up/down phases. Most of the current (~80%) is self-generated by the plasma pressure gradient with the remainder provided by the heating and current drive (HCD) system. The capabilities and limitations of Neutral Beam Injection (NBI) for current drive in relevant STEP scenarios are presented, as well as the additional integration, technology readiness level, maintainability, and financial considerations that determine the optimal HCD system for a reactor class tokamak. It is demonstrated that, in isolation, NBI has excellent current drive efficiency achieving &amp;zeta;=0.4 at &amp;rho;=0 rising to &amp;zeta;=1.4 at &amp;rho;=0.8 for beam energies ≤1MeV. However, once considered in an integrated design, the poor wall-plug efficiency, large size and consequent high cost makes NBI undesirable in STEP compared to microwave based HCD.
We continue our study of the defect CFT on a Maldacena-Wilson line in N=4 Super-Yang-Mills theory using Bootstrability -- the conformal bootstrap supplemented with exact integrability data. In this paper, … We continue our study of the defect CFT on a Maldacena-Wilson line in N=4 Super-Yang-Mills theory using Bootstrability -- the conformal bootstrap supplemented with exact integrability data. In this paper, we extend this program to charged sectors of the theory, considering a mixed-correlator setup first introduced by Liendo, Meneghelli, and Mitev. The exact spectrum in all channels is given by integrability at any coupling. Additionally, we use exact expressions for some structure constants fixed by localisation and leverage the exact discrete symmetries of the theory. We analyse the remaining data with the numerical bootstrap, developing an algorithm optimised to scan over a large multidimensional space of OPE coefficients and carve the allowed region with the "cutting surface" procedure. We compute upper and lower bounds for 12 OPE coefficients for several values of the coupling. Our results are sharp for the lowest states in each sector but become quite wide for the excited states due to their near degeneracy. This highlights the need for studying the system with non-protected external states and for further input from integrability in the form of integrated correlators of non-protected operators.